Diese Seite drucken
Donnerstag, 21 September 2023 11:59

Designoptimierung von IC-Layouts

von
Geschätzte Lesezeit: 1 Minute
Der Calibre DesignEnhancer verspricht eine verbesserte IC-Designqualität Der Calibre DesignEnhancer verspricht eine verbesserte IC-Designqualität Bild: Siemens

Siemens Digital Industries Software stellt mit dem Calibre DesignEnhancer eine Software vor, die für Place-and-Route(P&R)-Anwendungen und kundenspezifische Designs die Produktivität erhöht, die Designqualität verbessert und die Markteinführungszeit verkürzt.

Die Designoptimierung geschieht durch die automatische Implementierung von ‚Calibre Correct-by-Construction' Layout-Änderungen in einem frühen Stadium des IC-Design- und Verifikationsprozesses.

Das Calibre DesignEnhancer-Tool ist das jüngste in einer Reihe von ‚Shift-Left'-Tools für die Calibre-nm-Platform zur physikalischen Verifikation von ICs. Das ermöglicht die Verbesserung von kundenspezifischen und digitalen Designs und die physikalische Verifikation. Sie optimiert Designs schnell und präzise, um Probleme mit Spannungsabfällen (IR) und Elektromigration (EM) zu reduzieren oder zu beseitigen. Durch automatisierte Layout-Optimierung während der Design- und Implementierungsphasen hilft das Calibre DesignEnhancer-Tool, DRC-korrekte (design rule checking) Designs schneller zum Tape-out zu bringen und die Zuverlässigkeit der Schaltungen zu verbessern.

Das DesignEnhancer-Tool lässt sich mit allen wichtigen Design- und Implementierungsumgebungen über Industrieschnittstellen integrieren. Es sind Kits für alle führenden Foundries erhältlich. Sie unterstützen Designs von 130 bis 2 nm.

 

Ähnliche Artikel